ভিএইচডিএল বা ভিএইচসিক হার্ডওয়্যার ডেসক্রিপশন ল্যাংগুয়েজ, ডিজিটাল সার্কিট সাধারণত এফপিজিএ এবং এইসিক(AISC) ডিজাইন-এন্ট্রি ল্যাংগুয়েজ (মডেলিং টুল) হিসেবে ব্যবহৃত হয়। এটি একটি হার্ডওয়্যার ডেসক্রিপসন ল্যাংগুয়েজ, কোনো প্রোগ্রামিং ল্যাংগুয়েজ নয়। অর্থাৎ এটি একটি সিস্টেমের বিভিন্ন অংশের বিবরণ প্রকাশের কাজে ব্যবহৃত হয়, কোনো কর্মসূচি(প্রোগ্রাম)-র বিবরণের জন্য নয়।[১]

ভি এইচ ডি এল
প্যারাডাইমসহগামী, প্রতিক্রিয়াশীল
প্রথম প্রদর্শিত১৯৮০-এর দশক
টাইপিং পদ্ধতিশক্ত
ওয়েবসাইটIEEE VASG
যার দ্বারা প্রভাবিত
অ্যাডা, প্যাসকেল[তথ্যসূত্র প্রয়োজন]
ভিএইচসিক হার্ডওয়্যার ডেসক্রিপশন ল্যাংগুয়েজ এর জন্য উৎস সম্পাদনা করার প্রক্রিয়া

কোডলিখন প্রস্তুতি সম্পাদনা

আরটিএল কোডিং অর্থাৎ হার্ডওয়্যার ডিজাইনের জন্য একটি সিনথেসিস/ডিজাইন টুল প্রয়োজন। আজকাল অনেক ভিএইচডিএল সিমুলেটর বিনামূল্যে পাওয়া যায়, তবে এদের কার্যকারিতা বাণিজ্যিক সংস্করণের চেয়ে কম হলেও এগুলো শিক্ষার জন্য যথেষ্ট। কোড লিখার জন্য অন্য প্রোগ্রামিং ল্যাংগুয়েজ জানা সহায়ক ভূমিকা রাখে কিন্তু তা জরুরি নয়।

এফপিজিএ সিনথেসিস টুলও বিনামূল্যে পাওয়া যেতে পারে যা একজন শিক্ষার্থীর জন্য প্রস্তুতকৃত বতনীসমূহ দেখার আকর্ষণীয় সুযোগ করে দেয়। এর মাধ্যমে বিভিন্ন কোডিং স্টাইলের কার্যকারিতা নিরূপণ সম্ভব। স্কিমেটিক ভিউয়ারের মাধ্যমে সংশ্লেষিত ডিজাইন দেখা সম্ভব।

কিছু ডিজাইন প্যাকেজের মাধ্যমে কোড না লিখেও অন্যভাবেও ডিজাইন করা সম্ভব যথা ব্লক ডায়াগ্রাম বা স্টেট ডায়াগ্রামের মাধ্যমে। আর এর মাধ্যমে জটিল স্টেট মেশিনের জন্য কোড টেম্পলেট তৈরি করা যেতে পারে।

প্রায় সব ডিজাইন টুলই ভেরিলগ ও ভিএইচডিএল সমর্থন করে এবং একই সাথে টার্গেট হার্ডওয়্যার হিসেবে এফপিজিএ বা সিপিএলডি ব্যবহার সম্ভব। নিচের সারণী প্রয়োজনীয ডিজাইন টুল/প্যাকেজ নির্বাচনে সহায়তা করতে পারে।

পরিলেখ সম্পাদনা

  • এফ পি জি এ(FPGA) – ফিল্ড প্রোগ্রাম্যাবল গেট এ্যারে
  • এইসিক(ASIC) - অ্যাপ্লিকেশন স্পেসিফিক ইন্ট্রিগেটেড সার্কিট
  • সি পি এল ডি(CPLD) – কমপ্লেক্স প্রোগ্রাম্যাবল লজিক ডিভাইস
  • ভিএইচসিক(VHSIC) - ভেরি হাই স্পিড ইন্ট্রিগেটেড সার্কিট
  • ল্যাচ(Latch) - ক্লকবিহীন তথ্য সংরক্ষক কণিকা
  • আরটিএল(RTL) – রেজিস্টার ট্রান্সফার লেভেল
  • পি এল ডি(PLD) – প্রোগ্রামেবল লজিক ডিভাইস
  • এফপিএলডি(FPLD)–ফিল্ড প্রোগ্রামেবল লজিক ডিভাইস
  • এফ এস এম(FSM)–ফিনিট স্টেট মেশিন
  • এম পি জি এ(MPGA)–মাস্ক প্রোগ্রাম্যাবল গেট এ্যারে

আরও দেখুন সম্পাদনা

  • ভেরিলগ
  • সিস্টেম-সি
  • এফপিজিএ
  • এইচডিএল

তথ্যসূত্র সম্পাদনা

  1. "Why should I care about Transparent Latches?"। Doulos। ২০ জানুয়ারি ২০১৩ তারিখে মূল থেকে আর্কাইভ করা। সংগ্রহের তারিখ ২২ ডিসেম্বর ২০১২ 
  • দৃষ্টি আকর্ষণ: এই টেমপ্লেটি ({{cite doi}}) অবচিত। doi দ্বারা চিহ্নিত প্রকাশনা উদ্ধৃত করার জন্য:10.1109/IEEESTD.1988.122645, এর পরিবর্তে দয়া করে |doi=10.1109/IEEESTD.1988.122645 সহ {{সাময়িকী উদ্ধৃতি}} ব্যবহার করুন।
    • দৃষ্টি আকর্ষণ: এই টেমপ্লেটি ({{cite doi}}) অবচিত। doi দ্বারা চিহ্নিত প্রকাশনা উদ্ধৃত করার জন্য:10.1109/IEEESTD.1992.101084, এর পরিবর্তে দয়া করে |doi=10.1109/IEEESTD.1992.101084 সহ {{সাময়িকী উদ্ধৃতি}} ব্যবহার করুন।
  • দৃষ্টি আকর্ষণ: এই টেমপ্লেটি ({{cite doi}}) অবচিত। doi দ্বারা চিহ্নিত প্রকাশনা উদ্ধৃত করার জন্য:10.1109/IEEESTD.1994.121433, এর পরিবর্তে দয়া করে |doi=10.1109/IEEESTD.1994.121433 সহ {{সাময়িকী উদ্ধৃতি}} ব্যবহার করুন।
  • দৃষ্টি আকর্ষণ: এই টেমপ্লেটি ({{cite doi}}) অবচিত। doi দ্বারা চিহ্নিত প্রকাশনা উদ্ধৃত করার জন্য:10.1109/IEEESTD.2000.92297, এর পরিবর্তে দয়া করে |doi=10.1109/IEEESTD.2000.92297 সহ {{সাময়িকী উদ্ধৃতি}} ব্যবহার করুন।
  • দৃষ্টি আকর্ষণ: এই টেমপ্লেটি ({{cite doi}}) অবচিত। doi দ্বারা চিহ্নিত প্রকাশনা উদ্ধৃত করার জন্য:10.1109/IEEESTD.2002.93614, এর পরিবর্তে দয়া করে |doi=10.1109/IEEESTD.2002.93614 সহ {{সাময়িকী উদ্ধৃতি}} ব্যবহার করুন।
    • দৃষ্টি আকর্ষণ: এই টেমপ্লেটি ({{cite doi}}) অবচিত। doi দ্বারা চিহ্নিত প্রকাশনা উদ্ধৃত করার জন্য:10.1109/IEEESTD.2007.4299594, এর পরিবর্তে দয়া করে |doi=10.1109/IEEESTD.2007.4299594 সহ {{সাময়িকী উদ্ধৃতি}} ব্যবহার করুন।
  • দৃষ্টি আকর্ষণ: এই টেমপ্লেটি ({{cite doi}}) অবচিত। doi দ্বারা চিহ্নিত প্রকাশনা উদ্ধৃত করার জন্য:10.1109/IEEESTD.2009.4772740, এর পরিবর্তে দয়া করে |doi=10.1109/IEEESTD.2009.4772740 সহ {{সাময়িকী উদ্ধৃতি}} ব্যবহার করুন।

ভিএইচডিএল ডিজইন এনভায়োর্নমেন্ট ডাউনলোড সম্পাদনা

বহিঃসংযোগ সম্পাদনা